module test; /* Make a reset that pulses once. */ reg Taste1 = 0; reg MAX_DOUT = 0; /* Das sind die Daten die aus dem Maxim rauskommen */ initial begin # 10 Taste1 = 1; # 10 Taste1 = 0; # 250 $stop; end // initial begin /* Make a regular pulsing clock. */ reg clk = 0; always #5 clk = !clk; wire Led1, Led2; wire MAX_SCK, MAX_DIN; // counter c1 (value, clk, reset); Source1 s1 (clk, Led1, Led2, Led3, Led4, Taste1, Taste2, Taste3, Taste4, MAX_CS,MAX_DIN,MAX_DOUT,MAX_SCK); initial // $monitor("At time %t, Led1 = %0d, Led2= %0d, Clk:%0d", $time, Led1, Led2,clk); $monitor("At time %t, Max_CLK = %0d, Max_Dat= %0d, Clk:%0d", $time, MAX_SCK, MAX_DIN,clk); endmodule // test